최근 수정 시각 : 2024-03-18 11:54:33

EDA

이 문서는
이 문단은
토론을 통해 다음의 합의사항으로 합의되었습니다. 합의된 부분을 토론 없이 수정할 시 편집권 남용으로 간주되어 제재될 수 있습니다.
아래 토론들로 합의된 편집방침이 적용됩니다. 합의된 부분을 토론 없이 수정할 시 편집권 남용으로 간주되어 제재될 수 있습니다.
[ 내용 펼치기 · 접기 ]
||<table width=100%><table bordercolor=#ffffff,#1f2023><bgcolor=#ffffff,#1f2023><(>토론 - 다음의 합의사항으
토론 - 합의사항2
토론 - 합의사항3
토론 - 합의사항4
토론 - 합의사항5
토론 - 합의사항6
토론 - 합의사항7
토론 - 합의사항8
토론 - 합의사항9
토론 - 합의사항10
토론 - 합의사항11
토론 - 합의사항12
토론 - 합의사항13
토론 - 합의사항14
토론 - 합의사항15
토론 - 합의사항16
토론 - 합의사항17
토론 - 합의사항18
토론 - 합의사항19
토론 - 합의사항20
토론 - 합의사항21
토론 - 합의사항22
토론 - 합의사항23
토론 - 합의사항24
토론 - 합의사항25
토론 - 합의사항26
토론 - 합의사항27
토론 - 합의사항28
토론 - 합의사항29
토론 - 합의사항30
토론 - 합의사항31
토론 - 합의사항32
토론 - 합의사항33
토론 - 합의사항34
토론 - 합의사항35
토론 - 합의사항36
토론 - 합의사항37
토론 - 합의사항38
토론 - 합의사항39
토론 - 합의사항40
토론 - 합의사항41
토론 - 합의사항42
토론 - 합의사항43
토론 - 합의사항44
토론 - 합의사항45
토론 - 합의사항46
토론 - 합의사항47
토론 - 합의사항48
토론 - 합의사항49
토론 - 합의사항50
||

토론 합의사항
{{{#!wiki style="margin: 0 -10px -5px; min-height: 26px"
{{{#!folding [ 펼치기 · 접기 ]
{{{#!wiki style="margin: -6px -1px -11px"
1. 아래의 1번, 3번, 5번 문구는 삭제한다.
* 본 합의안에 명시되지 아니한 서술은 현상 유지하나, 서술 고정하지 아니한다. 현상 유지의 기준은 r200으로 한다.
}}}}}}}}}
'''[[전기전자공학과|전기·전자공학
{{{#!wiki style="font-family: Times New Roman, serif; font-style: Italic; display: inline;"
]]'''
{{{#!wiki style="margin:0 -10px -5px; min-height:calc(1.5em + 5px); word-break:keep-all"
{{{#!folding [ 펼치기 · 접기 ]
{{{#!wiki style="margin:-5px -1px -11px; letter-spacing:-0.05em"
<colbgcolor=#eee,#000>학문기반 학문
물리학 (전자기학 (회로이론 · 전자 회로 · 논리 회로) · 양자역학 · 물리화학 · 열역학 · 응집물질물리학) · 화학
연관 학문
수학 (공업수학 · 수치해석학 · 위상수학 · 미분방정식 · 대수학 (환론 · 표현론) · 선형대수학 · 이론 컴퓨터 과학 · 컴퓨터 공학 (프로그래밍 언어 (HDL · VHDL · C · C++ · 파이썬 · 베릴로그)) · 재료공학 · 제어 이론
공식 · 법칙전자기 유도 · 가우스 법칙 · 비오-사바르 법칙 · 무어의 법칙 · 키르히호프의 법칙 · 맥스웰 방정식 · 로런츠 힘 · 앙페르 법칙 · 드모르간 법칙 · 페르미 준위
이론 · 연구반도체 (P형 반도체 · N형 반도체) · 디스플레이 · 논리 회로 (보수기 · 가산기 · 플립플롭 · 논리 연산) · 전자 회로 · RLC 회로 · PFC · DSP · 히스테리시스 곡선 · 휘트스톤 브릿지 · 임베디드 시스템
용어클럭 · 집적 회로 · ASIC · CPU 관련 (BGA · 마이크로아키텍처 · GPS · C-DRX · 소켓) · 전계강도계 · 축전기 · CMCI · 전송선 · 양공 · 도핑(반도체) · 이미터 · 컬렉터 · 베이스
전기 · 전자
관련 정보
제품
스마트폰 · CPU · GPU (그래픽 카드) · ROM · RAM · SSD · HDD · MPU · CCD · eMMC · USB · UFS · LCD · LED · OLED · AMOLED · IoT · 와이파이 · 스마트 홈 · 마그네트론 · 마이크 · 스피커 · 배터리
소자
다이오드 · 진공관 · 트랜지스터 (BJT · FET · JFET · MOSFET · T-FT) · CMOS · 저항기 · 태양전지 · 연산 증폭기 · 사이리스터 · GTO · 레지스터 (IGBT) · 펠티어 소자 · 벅컨버터
자격증
전기 계열기능사
전기기능사 · 철도전기신호기능사
기사
전기기사 · 전기산업기사 · 전기공사기사 · 전기공사산업기사 · 전기철도기사 · 전기철도산업기사 · 철도신호기사 · 철도신호산업기사
기능장 및 기술사
전기기능장 · 건축전기설비기술사 · 발송배전기술사 · 전기응용기술사 · 전기안전기술사 · 철도신호기술사 · 전기철도기술사
전자 계열기능사
전자기기기능사 · 전자계산기기능사 · 전자캐드기능사
기사
전자기사 · 전자산업기사 · 전자계산기기사 · 전자계산기제어산업기사
기능장 및 기술사
전자기기기능장 · 전자응용기술사
기타기능사
신재생에너지발전설비기능사(태양광)
기사
소방설비기사 · 신재생에너지발전설비기사(태양광) · 로봇소프트웨어개발기사 · 로봇하드웨어개발기사 · 로봇기구개발기사
}}}}}}}}}

1. 개요2. 역사
2.1. 빅3
3. 사용 환경4. 가격
4.1. 반도체 EDA 지원 사업
5. 직무 정보
5.1. 직무 요건5.2. 직무 종류
5.2.1. 반도체 EDA5.2.2. 집적회로 설계 EDA5.2.3. 시뮬레이션 EDA5.2.4. PCB EDA5.2.5. CAE EDA5.2.6. 기타
6. 제품 목록
6.1. IC Layout Editor
6.1.1. IC/PCB Layout & MASK Viewer
6.2. PCB Layout Editor6.3. Schematic Editor6.4. Spice Simulator
6.4.1. Monte Carlo Simulator
6.5. HDL Simulator6.6. Device Simulator6.7. Physical Verification6.8. RC Extraction6.9. EM Simulator6.10. Library Characterization6.11. Particle Simulator6.12. FDTD Simulator6.13. Manufacturing and Testing6.14. 기타
7. 여담

1. 개요

Electronic Design Automation
전자 분야의 CAD. ECAD(Electronic Computer Aided Design)라고도 한다.

산업, 가전, 상업, 차량용 전자 장비의 집적회로PCB를 디자인 설계할 때 사용되며 추가적으로 회로 해석, 열 해석, 전자파 해석 등의 시뮬레이션을 하는 프로그램도 있다. ANSYS처럼 시뮬레이션에 특화된 업체도 있다. 로직 레벨의 반도체 칩(ASIC 또는 FPGA) 설계를 하는 프로그램, 시스템 레벨의 회로설계, 최종 구현을 위한 PCB 디자인을 하는 프로그램 등으로 나뉜다. 최근에는 AI 나 Cloud 기술이 각광 받으면서 이를 접목한 EDA 프로그램들이 점차 개발되는 추세다.#Cadence CerebrusOpenText Cloud

미세 공정에 대한 디자인 및 시뮬레이션에 관한 프로그램이 많이 존재하는 반도체 EDA 시장이 큰 성장세에 있다는 전망이 많다. 2020년 기준 전체 EDA 시장은 약 108억 달러 (약 12조 5천억) 에 이르고 있다.# 2020년 조사 결과에 따르면 전체 EDA 시장은 2027년까지 기존 연평균 성장률 9.6% 로 지속 성장할 경우 2027년 208억 달러 (약 23조)를 기록할 것으로 예상되고 있다.에스코어자료 원출처

2. 역사

EDA의 역사는 MCAD의 역사와 맥이 같다고 할수 있다. 1980년대에 휴렛팩커드, 텍트로닉스, 인텔 등이 내부에서 조달된 툴을 사용한 경우가 있고 1980년대 후반 부터 케이던스나 멘토그래픽스사에서 전용 EDA 툴을 내놓기 시작했다.

기술 분야지만 소프트웨어 관련 부문이라서 그런지 인수합병이 굉장히 활발한 업계에 해당한다. 덕분에 주로 몇 개 회사들만이 시장을 독점하고 있는 형태가 되었다. 2000년대 이후부터는 기술 난이도가 오르면서 개발보다 인수합병이 경쟁력이 있는 것. 따라서 주목받기 시작하는 프로그램이 있으면 몇 년 안에 어딘가의 이름 있는 기업으로 합병되어 있는 경우가 굉장히 빈번히 발생한다.

2.1. 빅3

주요 EDA 제품 제조사
파일:Cadence.png파일:synopsys_color_tn.png파일:Siemens.png


EDA 시장에서 3개 회사가 대부분의 지분을 차지하고 있다보니, 이들을 묶어 빅3라고 부른다. 이들 세 회사가 보유한 시장 지분을 합치면 전체 EDA 시장 매출의 85% 가량을 차지할 것으로 예상되고 있다.# Big 4 에 포함되는 ANSYS 까지 합치면 90% 이상으로 점쳐진다.[1]

EDA 빅3로 불리는 시높시스(Synopsys), 케이던스(Cadence), 지멘스EDA(구 Mentor Graphics)는 30년 이상의 기간 동안 서로 경쟁하며 분야를 넓혀왔다. EDA 기술 개발에는 Compiler Technique과 Computational Geometry, 프로그래밍 지식 외의 반도체/물리 분야 이론 지식 등 실로 다양한 기술이 요구되는데, EDA 분야에서 그나마 이러한 기술력을 갖췄다고 할 만한 회사는 저 셋이 유일하다.

때문에 새로운 EDA 업체가 이 경쟁 시장에서 두각을 드러내기는 굉장히 힘들다. 보통 신생 EDA 업체인 경우 빅3 가 방치하는 블루 오션을 찾아 선점하는 경우가 많다. 이때에는 합병되어버리는 경우 많은데, 그 외에는 그 시장 규모 자체가 작은 경우가 많다.

이와 같은 상황을 긍정적으로 평하는 이들은 빅3의 안정적 경쟁 상황이라고 논하지만, 부정적으로 평하는 이들은 빅3의 독과점이 심각하다고 논한다. 어느 쪽이든 수긍되는 면이 있다.

3. 사용 환경

반도체 EDA 제품은 연산량이 격렬하기 때문에 Windows 운영체제에서는 사용이 힘들다. 때문에 Linux 운영체제를 갖춘 서버 플랫폼에서 실행되는 것이 일반적이다.

삼성 파운드리와 같은 대기업에서는 협력사의 업무 환경 조성의 어려움을 고려하여 가상 클라우드를 통해 자신들이 구매한 EDA 프로그램 사용을 지원하기도 한다. 삼성 파운드리의 SAFE-CDP 관련 기사

이렇다보니 EDA 제품을 사용하려면 기본적으로 리눅스 사용법을 배워야 한다. 대기업이라면 입사 후 교육기간에 이에 대한 학습을 시키는데, 중견/중소 기업이라면 이런 여력이 없으므로 알아서 배워나가야 한다. 프로그램 관련 소양이 없다면 상당히 고생을 할 수도 있다. 다만 개발자처럼 뭐 리눅스에서 돌아가는 커널 드라이버를 만들거나 서버 애플리케이션을 배포한다거나 하는 건 아니고 단순하게 자주 쓰이는 명령어 십수 개 정도만 익히면 충분하다. 어쨌튼 코딩과도 관련이 아예 없는 건 아니기에 덕분에 EDA를 배우다가 컴공으로 넘어가기도 하는 등의 경우가 발생하기도 한다.

다만 모든 EDA 분야가 모두 리눅스를 기본으로 하는 것은 아니다. PCB 설계 분야에서는 반도체 분야와 달리 Windows 기반의 프로그램들이 대부분이며, Mac 환경 지원은 어느쪽이든 간에 거의 없거나 불안정한 경우가 많다.

4. 가격

일반적인 프로그램과는 가격에 괴리감이 느껴질 정도로 어마무시한 차이가 난다. 반도체 부분 소프트웨어 가격은 보통 0 이 3개는 더 붙는 수준이라고 보면 된다.
  • 예시1) 경기도 일자리 재단의 공개 입찰가격 : 배정 예산 2600만원 / 추정가 2363만 링크[2]
  • 예시2) 경기도경제과학진흥원의 공개 입찰가격 : 입찰가 1995만원 링크


이는 EDA 제품이 특정 업무 전용으로만 개발된다는 점과 개발 인력의 유지, 지속적인 Technical Service, 사용자 요청에 따른 기능 업데이트 진행 등을 모두 포함한다는 점에서 나름 합리적으로 책정된 것에 해당한다.

보통 딱 정해진 가격이 있다기보다는 구매자의 요구조건에 맞추어 가격이 조정되기도 한다. 위에 제시된 예시의 프로그램들도 각 기관마다 다른 가격이 입찰된 것을 쉽게 찾아볼 수 있다. 이는 아무래도 액수가 액수이다보니 비용 지불의 여력을 고려했다고 볼 수 있다.


다만 수백만원 대의 비교적 저렴(?)한 프로그램의 경우에는 이런 내용이 해당되지 않는 경우가 많다.

4.1. 반도체 EDA 지원 사업

국내에서는 반도체 설계 교육 센터(IDEC)#라는 곳을 통해 EDA 관련 국가지원이 이루어진다. 대상은 중소기업이나 대학 연구소, 국가 연구시설 등이다. 이곳을 통하게 되면 기존 프로그램 가격보다 훨씬 저렴한 가격으로 프로그램을 사용할 수 있다. EDA 공급사들 중에는 이런 국가지원 프로그램을 고려하여 학술용 가격을 따로 가지고 있기도 하다.

또한 반도체 설계 교육 센터는 이름 그대로 Tool 사용법에 대한 교육도 지원한다. 유료/무료, 온라인/오프라인 교육이 나누어져 있고, 연간 교육 일정이 미리 정해져서 나오기 때문에 참고하면 꽤 유용하게 이용할 수 있다.

참고로 해당 센터 본원은 KAIST 부지에 있으므로 오프라인 교육 시에는 이 주위로 숙소를 잡으면 된다. 교육장소가 그 외 대학인 경우라면 그 주변에 보통 숙소가 있으니 참고하면 된다.

5. 직무 정보

5.1. 직무 요건

Tool 마다의 특성이 다르기 때문에 업무 내용에는 차이가 있지만, 업무 특성상 영어를 사용하는 경우가 많아서 어느 정도의 영어 능력은 필수적으로 요구된다.

Tool의 사용 목적에 맞도록 기술 지원을 한다고 하지만, 사용자보다도 목적과 필요 기술을 더 잘 알 수는 없기 때문에 전공 지식은 크게 영향을 받지 않는다. 마찬가지로 개발자가 아닌 이상 프로그래밍 지식도 크게 필요치 않다. 다만 사람과 대면하는 업무가 많을 수 밖에 없기 때문에 커뮤니케이션 능력을 보는 경우가 많다.

그러나, 여느 기술 직군이 모두 다 그렇듯 시간이 지날수록 직무 지식을 넓혀나가지 않으면 퇴사가 가까워지게 된다.

5.2. 직무 종류

5.2.1. 반도체 EDA

  • AE (Applications Engineer)
    가장 많은 수를 자랑하며, 프로그램 전반에 대한 기술적인 지원을 하는 인력에 해당한다. Field를 붙여서 FAE로 부르거나, Computer를 붙여서 CAE[3]라고 부르기도 한다.
    국내 대기업에서는 AE 관련 업무를 하는 직군이 나누어져 있는데, 보통 특정 분야 Tool을 맡아서 기술 지원을 하게 된다. Tool Vendor쪽으로 취직하게 되면 맡은 Tool 의 기술지원 및 영업 부분까지도 맡아서 진행하게 된다. Vendor 중에서도 Cadence나 Synopsys 같은 대기업 같은 중견기업에 취직하면 직무가 분리되어 기술과 영업이 별도로 존재하기도 한다.
    이외에도 대리점 업을 하는 경우도 있는데, 이쪽으로 취직하게 되면 국내 사용자와 국외 Tool Vendor 사이에서 업무를 진행하는 경우가 있다. 보통 기술지원 및 영업 부분을 함께 진행하는 경우가 많다.
  • Developer (프로그램 개발자)
    보통 극소수인 편이다. 단순 프로그래머처럼 C/C++, Java, Python 등을 잘 다루면 되는 것이 아니라, Database 내용도 알아야하고 하드웨어 관련된 전반 지식도 있어야 하다보니 허들 자체가 어마어마하게 높기 때문이다. 시뮬레이션 프로그램의 개발자라면 논문을 통해 수식이나 Side Effect까지 섭렵해야 하기 때문에 학력도 높아야 한다. 그만큼 취직하게 되면 대접을 받기 때문에 일장일단이 있다. EDA 분야에서는 가장 인원이 적은데, 덕분에 채용 경쟁도 치열한 편이다. Synopsys社나 Silvaco社 등의 해외 본사 기업들도 국내 지사에 개발직 채용을 대행시킬 정도.
  • CS (Customer Service)
    영업을 전담하는 직무에 해당한다. 주로 Tool 에 불만을 가지는 고객과 상담하여 가격을 조정하는 일을 맡게 된다. 업계 특성상 흔히 생각하는 접대나 상납 등이 큰 영향을 끼칠 수 없는 업계라서 비교적 클린한 영업인 경우가 많다. 이는 다시 말해 판매하는 프로그램의 성능이 모든 것을 좌우한다는 말이다. 괜찮은 기술력이 있는 회사에 가야 정상적인 업무가 가능하며, 그 외에는 여러 고난이 있다.

5.2.2. 집적회로 설계 EDA

  • Schematic Engineer Apple 예시Intel 예시Synopsys 예시
    일반적으로는 Digital Logic 설계 내용물을 Analog 회로소자들을 통해 구현하는 직군을 말한다. 보통 Digital 설계와 Analog 설계의 중간 단계에 해당하는 일을 하는데, 쉽게 말해 양쪽을 모두 이해해야 하는 직업군이다. Circuit Engineer, 회로 Designer 등으로도 부른다.
    EDA 직군에서는 주로 Schematic 설계를 위한 Spice Tool 의 사용 방법을 지원하는 역할을 한다. Spice Tool 은 수백가지 Option이 있고, 회로의 종류에 따라 시뮬레이션 조건이 다 다르기 때문에 사용법이 굉장히 까다롭다. Transient Sim을 할지 High Freq. Sim을 할지에 따라서 내용이 나뉘는 것으로 보면 이해가 쉬울 것이다. 게다가 Tool 을 쓴다고 해서 무조건 결과가 나오는 것도 아닌 것이, 사용하는 Spice Tool이 특정 Option을 지원하지 않는 경우나 특정 Option 사용 시 Bug가 나는 경우 등의 예외 상황도 빈번히 발생하기 때문이다. 이런 부분을 원활하게 해결하는 부분을 해당 직군에서 맡는다고 보면 된다.
    기술 지원 난이도가 압도적으로 높다보니 악명이 높은 편이다. Spice Tool 은 태생적으로 에러 메세지가 불친절하다. 회로동작상 직접적인 에러 원인을 프로그램적으로 찾기가 힘들고, 이것이 특정 Option의 누락 때문인지 판단할 수도 없기 때문이다.[4] 덕분에 해당 분야의 제대로 된 EDA 엔지니어는 전체 EDA를 통틀어도 손에 꼽히는 숫자뿐이다.
    IP 설계를 병행하는 대형 EDA 회사의 경우 설계직으로 Schematic Engineer를 채용하는데, 보통 경력직을 채용한다. 주로 국내 대기업 출신을 선호하는 경향이 있는데, 대기업의 성과 중시 문화가 맞지 않는 사람들을 스카웃하는 경우다. 재미있는 점은 이렇게 대기업을 퇴사한 인력을 채용한 이후 다시 대기업 지원으로 보내는 것이기 때문에 전 직장 동료와 계속 일을 하게 되는 경우도 많다. 즉, 소속과 환경만 달라지고 일하는 동료는 같아지는 것.
  • Layout Engineer Apple 예시Cadence Design Engineer 채용 예시
    일반적으로는 IC Layout Editor를 이용하여 Layout을 그리는 작업을 생업으로 하는 직군을 말한다. 보통 Layout Engineer는 Design House에서 일을 하는 경우가 많지만, Cadence / Synopsys 등의 EDA 회사는 IP 벤더도 겸하는 경우가 있기 때문에 Layout Engineer가 필요하다.
    기본적으로 Layout Design 을 그리는 것만 하면 된다고 생각하기 십상인데, 이는 경력 초반 때의 이야기다. 신입사원 시기에는 보통 상급자가 이런 것들을 지시만 하기 때문에 Layout만 그릴 줄 알면 된다. 그러나 시간이 지날수록 필요한 능력이 많아진다. 우선 올바른 Layout 작업을 위해 Schematic을 해석해야 하므로 회로도를 보는 능력이 요구되며, Layout 진행 시 공정 구현이 불가능한 Layout Pattern을 모두 등가회로로 변경해서 그릴 줄도 알아야 하고, DFM을 고려하여 비효율적인 Layout Pattern도 효율적으로 개선해야한다. 또한 Layout을 그린 이후에는 DRC/LVS 등의 수많은 검증 작업도 마쳐야하므로 각종 프로그램의 사용법도 알아야 한다.
    EDA 회사에서의 Layout Enginner라면 필요한 기술이 하나 더 있는데, 위 모든 작업을 빠르게 해야 한다는 점이다. Layout은 로직 설계 이후에 이루어지므로, Layout이 끝나야 공정에 들어갈 수 있다. 때문에 Frontend 설계 파트나 Backend 공정 파트에서 Layout 파트에게 일정 압박을 넣는 경우가 일상 다반사로 발생한다. 그런데 같은 회사 내에서도 아닌, EDA 회사에서 Layout 설계를 하는 경우라면 압박의 수위도 더 높아지게 된다. 덕분에 야근과 주말연장근무가 흔한 직종이다.
    직업 특성상 수근관 증후군, 손목터널 증후군으로 불리는 손목 질환을 앓기 쉽다. 위에서 언급했듯 기한에 대한 압박이 있다보니 과도한 Layout 작업이 강제되기 때문이다. 이렇다보니 Layout Engineer들은 손목 보호대를 차고 있는 모습이 거의 표준 복장 취급된다.

5.2.3. 시뮬레이션 EDA

  • Simulation Engineer ANSYS 예시Samsung 예시Samsung 반도체 연구소 예시
    Simulation은 거의 전 분야에서 진행되는 부분이지만, Simulation Engineer는 그 중에서도 특히나 복잡한 Simulation을 전담하는 인력을 지칭한다. 보통 Simulation을 돌리기만 하는 것이 아니라, Simulation이 올바른 결과로 나올 수 있도록 지원하는 역할을 하기 때문에 Analysis Engineer (해석 엔지니어)라고도 부르고, Simulation 기반으로 Design을 Modeling한다고 해서 Modeling Engineer라고도 한다.
    해당 Engineer는 기업 내에서든 EDA 회사에서든 보통 Technology CAD (TCAD)를 이용한 구조 생성 Simulation이나 Thermal Analysis를 위한 DC/AC Power Simulation 등에 대한 내용을 지원하는데, 해당 내용들은 배우는 과정에서부터 난이도가 꽤 높다. 정확한 결과 확보를 위해서는 공정, 회로, Layout, 그외 Side Effect에 대한 전공 지식까지도 어느 정도 이해할 필요가 있기 때문이다.
    어느 정도 경력이 쌓인 이후부터는 몇몇 Parameter Value (ex. Channel Length/Width 등)만 바꿔가며 Simulation을 하는 Variable Simulation만 주구장창 하게 된다. 이런 작업은 보통 시간이 오래걸리는 작업에 해당하는데, 덕분에 Parameter 변경에 따른 경향성을 얼마나 빨리 파악하느냐 따라 업무 시간이 천차만별로 차이가 나게 된다.
    부가적으로 업무 특성상의 잡일이 많은 편이다. 경향성 파악을 쉽게 파악하기 위해서는 그래프나 차트를 만들거나, 표를 만드는 것이 기본이다보니 PPT 작업이나 엑셀 작업이 유난히도 많은 것.
    Design보다는 공정쪽과 업무연관성이 크다보니 Simulation Engineer로 일하다가 Process Engineer (공정 엔지니어)로 이직하는 경우도 꽤 쉽게 볼 수 있다.

5.2.4. PCB EDA

PCB 설계는 기본적으로 모든 EDA중에서 입문 난이도가 가장 낮고 배경지식도 가장 덜 필요한 분야이다. 2일 정도 교육만 받아도 기본적인 설계는 가능한 수준으로 학습할수 있는 수준. 그러나 여느 직군이 그렇듯 상대적으로 쉬운 직군은 평균 연봉이 낮다는 단점이 있다.

해당 직군에서 고액연봉을 받고 싶다면 전력/임베디드 시스템을 같이 배워서 혼자서 완제품설계가 가능한 수준이거나 시뮬레이션 툴을 같이 공부해서 고급 설계를 할수있는 수준까지 가야한다. 보통 물리 시뮬레이션(RF/열)의 경우에는 PCB레벨에서의 설계가 많기 때문에 시뮬레이션을 다루는 엔지니어는 높은 확률로 PCB를 직접 설계한다.

필수적으로 요구되는 지식은 회로에 대한 지식이 필요하며 이외에는 업무에 따라 전력공학,디지털공학,전파공학등의 지식을 갖춰야 하는 경우가 있다.

특성상 최종 완제품 제작에 가장 근접해있는 분야이기 때문에 기구설계/기계쪽에 대한 이해나 관련 툴에 대한 이해가 필요한 경우가 많으며 경우에 따라서는 MCAD 사용법을 익혀야 되는 경우도 많다[5]

5.2.5. CAE EDA

  • Computer-Aded Engineer
    EDA 제품 사용을 통해 반도체 설계직군의 업무를 보조하는 직군이다. 보통 프로그램을 직접 관리한다기 보다는 프로그램 사용 환경과 관련한 전반을 모두 담당한다. 다시말해 EDA 제품에 관한 기술적 Issue와 영업적 Issue를 모두 총괄하는 일을 한다. 기술적 Issue는 Machine의 할당 및 지원, Tool 사용과 관련한 기본적인 기술지원과 같은 부분을 의미하고, 영업적 Issue는 구매 수요가 있는 분야의 Tool 에 대한 탐색, 구매 요청이 있는 Tool에 대한 리뷰 및 가격 협상, 예산 관리 등에 대한 부분을 의미한다. 최근에는 구매하기는 비싸고 개발은 가능한 수준의 Tool이라면 이를 개발하는 일을 CAE 부서 프로젝트로 다루기도 한다.

    대기업인 경우에는 이 모든 내용을 다 분할하여 처리하기 때문에 CAE 조직 인원이 굉장히 큰 규모로 구성된다. 이는 해당 사의 모든 Tool 에 대해 개별적인 관리가 들어가다보니 자연스레 규모가 커지는 것에 해당한다. EDA 기업의 경우 특정 Tool에 대해서만 위 내용을 지원하므로 CAE의 규모가 작거나 없어도 무관한 편이다. 이렇다보니 해당 직군은 주로 대기업에 주로 분포한다.

    하는 일의 분야가 분야다보니 소프트웨어 공학 분야를 졸업한 이들이 주가 된다. 일반적으로는 업무가 많지 않은 편이지만 특정 상황에 일이 급격하게 늘어나는 추이를 보인다. Tool을 쓰는데 Machine에 영향을 줘서 OS가 날아갔다거나, Tool이 응답없음 상태가 되어버려서 강제종료를 해야하는데 시스템 권한이 없다거나, Machine에 원격 연결이 안된다거나, Machine에 Tool 을 사용할 환경 설정이 안되어 있다거나, 하다 못해 Simulation을 돌려야 하는데 Storage 용량이 없다거나 하는 등 Tool이 정상동작하지 않는 상황이 올 때에나 일을 하게 되다보니 당연한 일이다. 이런 내용들 중 EDA 제품의 Error가 자체해결이 불가능한 내용인 경우 Tool Vendor社와 연락을 취하여 지원을 요청하는 부분도 CAE에서 진행하게 된다.

    이런 업무 특성상 EDA 회사들과 가장 많이 Contact하는 부서인데, 덕분에 EDA 회사들은 각 고객사의 CAE팀에 Contact Point가 있는 경우가 많다. CAE의 실적은 EDA 제품의 사용 장려와도 연관되기 때문에 EDA 제품의 홍보도 CAE에 도움을 주기 때문이다. 덕분에 간혹 설계직군의 실제 사용자를 직접 찾지 않아도, CAE쪽에서 Tool을 소개해주어 적당한 사용자를 찾아 연결해주는 경우도 존재한다.

    직군의 특성상 단점은 업무 실적이 두드러질 수 없다는 점이다. 설계직군이 뛰어난 매출 성과를 냈고, 그것이 CAE가 EDA 제품 사용을 크게 장려한 덕분이라해도 설계직군의 공으로 돌아가는 경우가 많다. 아무래도 업무를 보조하는 직군이라는 인식이 강하다보니 같은 회사에서 일하는 임직원임에도 설계직군이 CAE 직군을 컴퓨터 수리기사 정도로 보는 경우까지도 간혹 보이는 편이다. 때문에 이직율이 상당히 높은 편인데, 소프트웨어 직군의 특성상 이직이 쉽고, 업무 연계성이 없어 적응이 빠르기 때문에 이곳저곳 옮겨다니며 경력을 쌓아 올리는 경우도 흔히 찾아볼 수 있다.

5.2.6. 기타

6. 제품 목록

6.1. IC Layout Editor

공급사 프로그램 명 Platform 비고
Cadence Virtuoso Layout Editor Linux 1991년 개발
Synopsys Laker Linux
Siemens EDA L-Edit Linux
Silvaco Expert Linux
JEDAT ISMO Linux
Windows
1982년 개발
가장 오래된 Layout Editor
일본산
Empyrean Aether Linux 중국산
KLayout KLayout Linux
Windows
Freeware#

IC의 Layout 설계도면을 그리는 프로그램.

PCB Layout Editor와 달리 Rotation이 들어가는 Shape를 인식하지 못하는 경우가 많다. IC Layout의 경우 네모네모한 경우가 많다보니 Engine에서 이를 인식할 필요가 없었기 때문.

이 때문에 Rotated Shape로 인한 Grid-off 나 Import Error 등이 왕왕 발생하는 편.

최근에는 이런 부분을 해결할 수 있는 새로운 기능들을 통해 해결이 진행되고 있다. FPD (Flat Panel Display)용으로 많이 사용되다보니 기능 이름에 FPD가 많이 붙는 편이다.

6.1.1. IC/PCB Layout & MASK Viewer

공급사 프로그램 명 Platform 비고
NCS EBView Windows
Linux
반도체 장비에 동봉되는 Software
Cadence OrCAD viewer Windows
Linux
Freeware
Siemens EDA CalibreDRV Linux
Empyrean Skipper Linux 중국산
AnaGlobe GOLF Linux 일본산
AnaGlobe Thunder Linux 일본산
TOOLS LAVIS Linux 일본산
TOOLS LAVIS-plus Linux 일본산
DNP
JEDAT
HotScope Windows
Linux
일본산

Layout Format (GDSII, OASIS 등) 이나 MASK Format (MEBES, MIC 등)의 파일을 열어볼 때 사용되는 프로그램.

해당 Tool 은 파일을 열어서 보고, Ruler 로 Shape 간 길이를 재보거나 스크린샷을 찍는 등의 단순한 기능을 지니는 것이 특징이다.

다만 최근에는 열어야 하는 파일의 크기가 100 GB를 넘는 경우도 생기면서 관련 프로그램들이 주목을 받고 있다.

6.2. PCB Layout Editor

공급사 프로그램 명 Platform 비고
Cadence Allegro Linux
Cadence OrCAD Windows
Siemens EDA PADS Linux
Windows
Altium Altium Designer Windows
Autodesk AUTOCAD[6]
EAGLE
Fusion 360
Windows
WEB
DipTrace DipTrace
Zuken CR8000
CADSTAR
KiCad PCB Editor
CSITEK CSiEDA Freeware

PCB 설계 시 사용되는 프로그램. 일반적으로 회로 설계 프로그램을 같이 포함하며[7] 각 프로그램별 구성은 회사마다 다르다.

6.3. Schematic Editor

공급사 프로그램 명 구분
Cadence Virtuoso Schematic Editor
Synopsys Custom Compiler
Siemens EDA Xpedition xDx Designer
Silvaco Gateway

회로 설계용 프로그램.

6.4. Spice Simulator

공급사 프로그램 명 구분 비고
Cadence Spectre True SPICE 2003년 개발
Cadence Spectre Turbo Fast SPICE
Cadence Spectre APS Fast SPICE Multi Core 성능 업
Cadence Spectre XPS Fast SPICE 2013년 개발.
자칭 Spectre 대비 10배 빠른 속도.#
Cadence Spectre X Fast SPICE 2020년 개발.
자칭 Spectre APS 대비 3 ~ 5배 빠른 속도.#
Synopsys HSPICE True SPICE 1981년 개발.
회로 SPICE계의 시초가 되는 Tool.#
Ashawna Hailey가 처음 상용화를 진행했다.
Synopsys FineSim True SPICE 2006년 개발.#
2012년 Synopsys가 Magma 인수합병 완료.
Synopsys FineSim Pro Fast SPICE 2011년 개발.(Magma 시절)
자칭 FineSim 대비 3배 ~ 10배 빠른 속도.#
Siemens EDA AFS True SPICE
Siemens EDA Eldo -
Silvaco SmartSpice - 1985년 개발
ProPlus NanoSplice -
Analog Devices LTSpice - Freeware #

회로 시뮬레이션 프로그램. 전류-전압 곡선을 출력하기 때문에 설계한 Design의 특성을 확인하는 용도로 사용된다.

SPICE Simulator는 (True) SPICE 와 Fast SPICE의 두 가지로 구분된다. 이는 Accurarcy를 기준으로 나눠지는데, 실물 측정치와의 정확도 차이가 1% 미만인 경우 (True) SPICE, 그 이상이면 Fast SPICE로 구분된다. 다만 미세 공정이 판을 치는 현대에는 그 구분이 명확하지 않다.

모든 SPICE Simulator는 Berkeley SPICE 라는 버클리 대학에서 공개한 오픈 소스를 기반으로 한다.# 일례로 Spice Tool이 지원하는 모델 중 BSIM4, BSIM-CMG 와 같은 형식은 Berkeley에서 Physics를 연구하여 만들어낸 Format이다.

6.4.1. Monte Carlo Simulator

공급사 프로그램 명 구분 비고
Siemens EDA Solido Variation Designer 2007년 Solido Design Automation社 개발
2018년 Siemens로 합병
MunEDA WiCkeD (WCD) 2002년 개발

Circuit에 대한 Monte Carlo Simulation (MC Sim)을 하는데에 사용되는 프로그램. 여기서 MC Sim이란 대상 회로가 온도, 전압, 공정을 변화했을 때에도 동일한 성능 지표를 보여줄 수 있는지를 검증하기 위한 Random Simulation하는 것을 의미한다. 덕분에 최종적으로 확률값이 표시되는 것이 특징이다.(Ex. 주어진 조건 내에서 99% 확률로 동작 가능 )

보통 Monte Carlo Simulation 기능은 SPICE Simulator에서 기본적으로 탑재되어 있으나 기술적 한계상 3-σ[8] 정도의 정확도가 한계다. 때문에 보다 높은 Sigma 분석 (5-σ, 6-σ[9], 7-σ)을 하고자 할 때 사용된다.

공통적으로 자체 SPICE Engine을 보유하지 않는다는 특징이 있다. MC Sim의 Algorithm상 SPICE로 무엇을 쓰더라도 이를 어떻게 가공하느냐가 더 중요하기 때문. 이 덕분에 해당 Tool 만 있다고 MC Sim을 할 수 있는 것은 아니라는 기묘한 상황이 연출된다.

Tool 자체의 기술적 난이도가 높아 사용법부터 해석법까지 모두 유저에게 불친절한데, 때문에 사용자에 따라 사용법이 천자만별로 나뉠 수 있다.


여담으로 MC Sim을 응용하는 Tool 중 가장 대표적인 경우는 Device Simulator다. Oxidation 이나 Ion Implantation 과정의 경우 Random성을 고려해야 하기 때문이다.

6.5. HDL Simulator

공급사 프로그램 명 비고
Cadence Xcelium (구) Incisive
Synopsys VCS
Siemens EDA ModelSim
Aldec RIVIERA-PRO
Veripool Verilator Freeware #

Logic 설계 및 시뮬레이션 프로그램. 학과에서 논리회로 수업을 듣는 경우 알고리즘 내용을 듣게 되는데, 작성된 알고리즘에 대해 실제 동작 시 발생하는 Latency나 오동작이 발생하는 예외 등을 Test 해보는 때에 Verilog Simulator를 사용하게 된다. 이쪽 프로그램은 개발이 용이하다보니 Open Source인 경우도 존재한다.

세부적인 목록은 링크 참고#

6.6. Device Simulator

공급사 프로그램 명 구분 비고
- SUPREM-IV
(Stanford University Process Modeling - IV)
1D
2D
1979년 개발
최초의 Process Modeling Program
Open Source #
Synopsys TSUPREM-IV
(Taurus SUPREM-IV)
1D
2D
1992년 TMA[10]社가 SUPREM-IV 에서 상용화
2002년 Synopsys로 합병
Synopsys Sentaurus 1D
2D
3D
2005년 개발
Silvaco Victory Process (구 ATHENA)
Victory Device (구 ATLAS)
2D
3D
1987년 개발
SUPREM-IV 에서 상용화
Linkglobal21 ExpertLCD
ExpertOLED
2D
3D
2006년 개발
Display 구조 전용
Cogenda Visual TCAD 2D
3D
2008년 개발
싱가폴 회사
ProSim ProSim -
The AnyLogic Company AnyLogic - Freeware #

공정 시뮬레이션용 프로그램. Process Simulator로도 부른다.

6.7. Physical Verification

공급사 프로그램 명 구분
Cadence PVS DRC/LVS
Synopsys IC Validator DRC/LVS
Siemens EDA[11] CalibreDRC
CalbireLVS
DRC/LVS
Silvaco SmartDRC
SmartLVS
DRC/LVS
Guardian DRC
GuardianLVS
DRC/LVS[12]
ANSYS RedHawk
TOTEM
Icepak
Power Analysis

DRC/LVS 등의 Layout 검증용 프로그램이나 ANSYS社와 같은 Power 분석용 프로그램을 말한다.

6.8. RC Extraction

공급사 프로그램 명 구분 Solver 비고
Cadence Quantus R/C 지원 ??? 3nm 검증 #
Siemens EDA Calibre xRC R/C 지원 ??? #
Synopsys StarRC R/C 지원 FEM (Finite Element Method) 5nm까지 검증 #
Silvaco Clever RC R/C 지원 FEM (Finite Element Method) #
JEDAT FineAcres R 만 지원 FVM (Finite Volume Method) #
JEDAT FineQap C 만 지원 ??? #

회로나 Layout의 정보를 기반으로 저항값이나 Cap 값을 추출하는데 사용되는 프로그램.

6.9. EM Simulator

공급사 프로그램 명 Solver 비고
ANSYS HFSS 3D
Keysight Technologies ADS 2.5D
Sonnet Software Sonnet Suite 2.5D / 3D
(선택 가능)
무료 Lite 버전 지원
Cadence EMX Planar 3D Solver 3D

초고주파 (RF) 회로에 대한 전자기장 시뮬레이션 프로그램.
일반적으로 2.5D, 3D simulation으로 나눌 수 있는데 HFSS나 Sonnet은 3D FEM simulation에 속하고 ADS momentom은2.5D simulation에 속한다.

3D simulation이 2.5D에 비해 컴퓨터 자원도 많이 먹고 시간도 오래 걸리기 때문에 더 정확하지 않냐라는 오해가 있지만 해석 방식의 차이 때문에 시간이 오래 걸리는 것이지, IC와 같은 planar 구조에서는 2.5D simulation이 해석시간, 정확도 두가지에서 오히려 이점을 가질 수도 있다.

하지만 3D simulation의 경우 더 많은 기능을 제공하기도 하고 2.5D simulation이 해석할 수 없는 구조도 해석 가능하기 때문에 사용자가 필요에 맞게 툴을 선택할 필요가 있다.

6.10. Library Characterization

공급사 프로그램 명 구분
Cadence Liberate
Synopsys SiliconSmart
Siemens EDA MLChar
(Solido Characterization Suite)
Silvaco Viola

Liberty는 Synopsys에서 공표한 Format으로, Verilog를 특정 공정 조건 (PVT)에서 시뮬레이션 했을 때 출력되는 Delay 값을 저장한 파일이다. 해당 파일을 분석하면 최상의 조건과 최악의 조건 간의 성능 차이를 한 눈에 볼 수 있기도 하다. 이러한 특성에 대한 분석을 Library Characterization이라고 한다.

6.11. Particle Simulator

공급사 프로그램 명 구분
Altair Altair CFD
ESSS Rocky ANSYS Interface
Prometech Software Particleworks ANSYS Interface
EDEM EDEM
DEMSlab DEMSlab
Geant Geant4 Open Source #

입자 시뮬레이션 프로그램. 산업 현장에 존재하는 모든 기계공학적 현상을 3D 시뮬레이션 할 수 있는 프로그램을 말한다. 산사태 시뮬레이션이나 항공기 엔진의 바람 (Fluid) 시뮬레이션, 화학 공정 시 배합 비율에 대한 시뮬레이션 등 듣기만 해도 어마어마한 것들을 할 수 있다. 덕분에 높은 정확도를 자랑하지만 그 만큼 사용법이 굉장히 어렵다. 반도체 업계에 활용할 때에는 Wafer에 화학 물질이 어떤 식으로 도포되어야 효율이 좋은지 시뮬레이션 할 때 사용되는 등 독특한 분석 때에 사용되기도 한다.

6.12. FDTD Simulator

공급사 프로그램 명 구분
ANSYS / Lumerical FDTD
Cadence Clarity
Linkglobal21 LCDMAX
Optiwave Optiwave Freeware #

전자기 시뮬레이션 프로그램. FDTD 란 방법론을 의미하는데, 한 순간 동안 전기장을 순환 한 다음, 그 다음 순간을 위해 자기장을 순환하는 과정을 교대로 반복하는 일련의 방식을 맥스웰 방정식으로 풀어내는 방법론에 해당한다.

6.13. Manufacturing and Testing

공급사 프로그램 명 비고
PDFSolution PDFSolution 1991년 개발
Excel Interface 사용
YieldHub YieldHub 2005년 개발
Wafer 측정값 위주
MiraCom Nexplant 2016년 개발
삼성 SDS 와 협동 개발한 국산 프로그램

생산/제조/테스팅 분야에서 사용하는 품질 관리 프로그램. 주로 측정값을 통해 Graph를 그리거나 통계값을 추출하는 용도이다.

보통 Web Server를 기반으로 하는데, 이는 DB 관리 프로그램인 Oracle 등을 활용하기 위한 것이다. 최근에는 Web Server 대신 Cloud Service를 이용하는 방향으로 대체되는 추세다.

6.14. 기타

Synopsys PrimTime Timing 시뮬레이션 프로그램
Synopsys CATS Layout To MASK Image 변환 프로그램
Anchor Semi. D2DB IC Layout vs Masking Image 프로그램
Anchor Semi. I2DC Masking Image에서 Layout 추출하는 프로그램

7. 여담

  • EDA 관련 학술 전시회는 DAC (Design Automation Conference)가 있다.# 보통 일주일 정도의 기간 동안 진행되며, 최근 개최지는 라스베이거스샌 프란시스코를 돌아가면서 선정하였다.
  • 국내쪽에서는 EDA를 전문적으로 만들거나 한국 지사를 둔 회사가 없어서 한국내에서 기술지원을 받거나 빠른 조치를 받기 힘든 상황이 많다. 그나마 한국에 유통업체가 있는 회사는 나은편이고 시뮬레이션 쪽은 국내에선 완전 전멸에 가까운지라 스스로 독학을 해야되는 상황이 많다.


[1] 2020년대에 들어서는 ANSYS가 오히려 Big 3 에 포함되는 때도 있다.[2] 용도상 R&D 목적이므로 해당 가격도 연구용 할인이 붙은 것에 해당한다.[3] 이때의 CAE는 Computer Application Engineer가 아니라 Computer Aided Engineer로, 약자의 내용물이 조금 바뀐다.[4] 이는 회로라는 것이 워낙 다양한 것도 있지만 설계하는 사람의 성향대로 달라진다는 점도 영향을 준다. 같은 회로를 그리더라도, 등가 회로를 구성할지 말지 등을 자유롭게 선택할 수 있기 때문에 정해진 시나리오가 없는 것.[5] 그래서 최근 추세중 하나는 퓨전 360이나 솔리드웍스처럼 MACD내에 EDA까지 내장시는것이다.[6] 엄밀히 말하면 오토캐드는 EDA 분류가 아니긴 하나, 도면작성에 있어서는 탁월하다는 그 특성상 오토캐드로 작성한 도면을 바탕으로 레이아웃을 짜거나 할수 있어서 다른 PCB아트웍 프로그램에 오토캐드 도면을 임포트 해오는 기능이 있기도 하며 숙련자라면 오토캐드만으로도 아트웍을 짤수 있다.[7] 경우에 따라 다르지만 보통은 PCB에디터와 회로 에디터를 따로 파는데 왜 그렇냐면 실제 현장에서는 회로를 짜는 쪽과 실제 PCB를 짜는 쪽이 서로 다른업체이거나 하는 경우가 많기 때문이다.[8] 1,000개당 하나 정도가 Spec-out[9] 100만개 중 하나 정도가 Spec-out[10] Technology Modeling Associates Inc.[11] 원래 Mentor 社가 제작하였으나, Siemens에 인수합병되었다.[12] 2021년 1월 PolytEDA社 PowerDRC/LVS를 합병하여 만든 프로그램.

분류